2019年湖北师范大学822数字电子技术考研大纲

本站小编 免费考研网/2019-05-29

2019年全国硕士研究生入学考试

湖北师范大学自命题考试科目考试大纲

(科目名称:数字电子技术 科目代码:822)

一、考查目标

数字电子技术科目主要考察考生系统掌握数字电子技术的基本知识、基础理论和基本方法,并能运用相关理论和方法分析解决问题的能力。重点考查学生对数字电子技术基础理论、基本知识及综合知识运用能力的掌握情况。

二、考试形式与试卷结构

(一)试卷成绩及考试时间

本试卷满分为150分,考试时间180分钟。

(二)答题方式

答题方式为闭卷、笔试。

(三)试卷题型结构

填充题:10小题,每小题2分,共20分;

选择题: 8小题,每小题2分,共16分;

问答题: 4小题,每小题6分,共24分;

分析和设计题:6小题,每小题15分,共90分。

(四)主要参考书目

康华光.电子技术基础 数字部分(第五版).北京:高等教育出版社,2006。

三、考查范围

第一章 数字逻辑概论

重点掌握:基本逻辑运算及逻辑函数表示方法

掌握:二、十六进制及其与十进制的相互转换;8421码;数字信号特点

了解:常用编码

第二章 逻辑代数与硬件描述语言基础:

重点掌握:逻辑函数的卡诺图化简法

掌握:逻辑代数的基本定律和恒等式;最小项概念及其性质;逻辑函数的表示方法及转换

了解:无关项的应用

第三章 逻辑门电路

重点掌握:几种用TTL、CMOS构成的门电路及功能

掌握:逻辑门电路使用中的几个实际问题

了解:正负逻辑问题,逻辑门电路结构

第四章 组合逻辑电路

重点掌握:常用集成组合逻辑器件的逻辑功能及使用方法

掌握:组合逻辑电路的分析与设计的基本方法

了解:一般了解竞争——冒险现象

第五章 锁存器和触发器

重点掌握:常用触发器的逻辑功能、特性方程、特性表、时序图

掌握:触发器的触发方式,正确理解其工作原理

了解:了解触发器的电路结构

第六章 时序逻辑电路

重点掌握:同步时序逻辑电路的分析方法

掌握:中规模集成计数器的功能及其应用;寄存器的工作原理

了解:了解时序逻辑电路设计的一般步骤

第七章 半导体存储器

重点掌握:存储器的工作原理;存储容量的扩展

掌握:存储器和可编程逻辑器件实现组合逻辑函数的方法。

了解:存储器和可编程逻辑器件的分类、特点;常用集成芯片功能、引脚及使用。

第九章  脉冲波形的变换与产生

重点掌握:555定时器的工作原理及其应用

掌握:单稳态触发器、施密特触发器、多谐振荡器工作原理及其应用

了解:一般了解石英晶体多谐振荡器和压控振荡器

第十章 数模与模数转换器

重点掌握:典型的A/D、转换器的工作原理

掌握: D/A输入输出的计算;A/D的工作过程

了解:了解D/A、A/D转换器电路结构及其功能、主要参数

相关话题/逻辑 电路 结构 数字电子技术 试卷

  • 领限时大额优惠券,享本站正版考研考试资料!
    大额优惠券
    优惠券领取后72小时内有效,10万种最新考研考试考证类电子打印资料任你选。涵盖全国500余所院校考研专业课、200多种职业资格考试、1100多种经典教材,产品类型包含电子书、题库、全套资料以及视频,无论您是考研复习、考证刷题,还是考前冲刺等,不同类型的产品可满足您学习上的不同需求。 ...
    本站小编 Free壹佰分学习网 2022-09-19
  • 2019年湖北师范大学820电路考研大纲
    2019年全国硕士研究生入学考试湖北师范大学自命题考试科目考试大纲(科目名称:电路 科目代码:820)一、考查目标电路科目重点考察学生对电路的基本概念、基本原理、基本定理和基本分析方法的理解掌握程度,灵活运用所学知识分析问题和解决问题的能力。二、考试形式与试卷结构(一)试卷成绩及考试时间本试卷满分为 ...
    本站小编 免费考研网 2019-05-29
  • 2019年中国传媒大学821数据结构与计算机网络考研大纲
    中国传媒大学硕士研究生入学考试《数据结构与计算机网络》考试大纲一、考试的总体要求《数据结构与计算机网络》是计算机科学与技术及相关学科的重要基础,本科目要求考生在数据结构方面:掌握数据结构的基本概念、基本原理和基本方法;掌握数据的逻辑结构、存储结构及基本操作的实现,能够对算法进行基本的时间复杂度与空间 ...
    本站小编 免费考研网 2019-05-29
  • 2019年复旦大学958电路与系统基础(二)考研大纲
    复旦大学2019年硕士研究生招生考试自命题科目考试大纲958电路与系统基础(二)考试大纲一、考试内容范围1、模拟电路部分(1)、基本放大电路双极型晶体管与场效应管单管放大器、差分放大器、多级放大器、互补输出电路的原理和特点、直流工作点、增益、输入阻抗、输出阻抗、频率特性。(2)、负反馈直流与交流反馈 ...
    本站小编 免费考研网 2019-05-29
  • 2019年华南理工大学828电路原理I考研大纲及参考书目
    从华南理工大学研究生院获悉,华理2019年828电路原理I考研大纲及参考书目已公布,内容如下:参考书目/教材:《电路》第五版 邱关源主编 高等教育出版社考试方式和考试时间闭卷试卷结构填空60分,计算90分考试内容和考试要求考试内容:(一)电路模型和电路定律 (1)电路和电路模型;(2)电流和电压的参 ...
    本站小编 免费考研网 2019-05-29
  • 2019年华南理工大学811结构力学考研大纲及参考书目
    从华南理工大学研究生院获悉,华理2019年811结构力学考研大纲及参考书目已公布,内容如下:参考书目:[1] 龙驭球,包世华主编,《结构力学教程》(Ⅰ、Ⅱ),高等教育出版社,2000。[2] 朱慈勉、张伟平主编,《结构力学》(上、下册)(第2版),高等教育出版社,2009。考试内容和考试要求811结 ...
    本站小编 免费考研网 2019-05-29
  • 2019年华南理工大学811结构力学考研考试大纲及参考书目
    从华南理工大学研究生院获悉,2019年华南理工大学811结构力学考研考试大纲及参考书目公布,内容如下:命题方式:招生单位自命题 科目类别:初试满分:150参考书目[1] 龙驭球,包世华主编,《结构力学教程》(Ⅰ、Ⅱ),高等教育出版社,2000。[2] 朱慈勉、张伟平主编,《结构力学》(上、下册)(第 ...
    本站小编 免费考研网 2019-05-29
  • 2019考研试卷的4大规律和6大信息
    考研复习时间正在一天天飞速流逝,如何在这么短的时间内做到扎实专业课,巩固公共课、准确把握今年考研最新命题趋势、这里为大家整理了考试的4大规律及6大信息的相关内容,希望对同学们有所帮助。一、考研专业课考试命题规律对于真题,不能只满足于看上去会做,而是应该去整体分析,分析其中的出题规律和出题范围。万事万 ...
    本站小编 免费考研网 2019-05-29
  • 2019年西安工程大学研招考试初试科目《服装材料与服装结构设计实践》特殊要求
    相关考生:报考我校082100纺织科学与工程(服装设计与工程方向)、085220纺织工程专业(服装信息化工程与技术方向;服装工程与内衣人体工效方向),考试科目业务课二选择为《服装材料与服装结构设计实践》(科目代码:836)的考生,考试需自带三棱比例尺、直尺、曲线板,可以带原型板、铅笔、橡皮等。本科目 ...
    本站小编 免费考研网 2019-05-29
  • 2019年天津医科大学关于联考西医综合(699)试题结构调整说明
    各位考生: 为方便广大考生备考,我校2019年硕士研究生初试科目联考西医综合(代码:699)试题结构做如下调整:题型由原来的A、B、X型题调整为单项选择和不定项选择,试题数量由原来的180题调整为150题,其中单项选择120题,不定项选择30题,分值均为2分/题,总分300分,考试时间不变。特此说明 ...
    本站小编 免费考研网 2019-05-29
  • [2019初试真题回忆] 2019年南开大学物理化学(含结构化学)真题回忆(851)
    专业课851总共八道计算大题,6道属于物理化学(90分),2道属于结构化学(35分),结构化学选择题占15分。物理化学出了不太经常考的稀溶液的依数性,还有热力学考了一道历年没有碰到过的汞的相变,转化了多少。其他的题型历年真题里面课后习题都有。对于结构化学,HMO出了对二甲基苯,计算其轨道能及,键级, ...
    本站小编 Free考研网 2019-05-28
  • 2019年安徽大学数据结构真题
    2019年安徽大学数据结构真题i=0,s=0,n=100Do{i=i+1;S=s+i*10;}while(!(i 本站小编 Free考研网 2019-05-28
  • 2019年哈尔滨工业大学854数据结构真题
    2019年哈尔滨工业大学854数据结构真题一选择题1。向栈内输入a1,2,3,4,5,6,输出顺序应该类似于a3,4,2,1,5,6这样的顺序,问栈的容量2。高度为5的4阶b树,所含的关键字的最小数目是多少3。以一个序列建立堆排序,例如**,问建立的堆初始排序是哪个(这个比较狡猾没说 ...
    本站小编 Free考研网 2019-05-28
  • 2019年西安交通大学814数据结构真题
    2019年西安交通大学814数据结构真题一大题avl的题告诉11个数然后进行排序求平均长度二大题哈希表公共溢出区告诉12个数然后求平均长度三(1)写图的邻接表结构(2)对一个图进行dfs与bfs(3)prim算法四编程题将一个链表进行逆置求时间复杂空间复杂问答题三个1三个调度。然后进程挂起是靠那个调 ...
    本站小编 Free考研网 2019-05-28
  • [2019初试真题回忆] 2019年复旦大学电子线路与集成电路设计专业真题回忆(881)
    模电50分第一道简答题:相位裕度和提高共模抑制比第二道三级放大器,N结型共源-共基-共集。就是基本的求静态工作点和放大倍数,注意最后一级共集的发射极串了个电流源,注意处理。第三道是个集成运放:加减运放-单限滞回比较器-积分运放。第一问每一个运动的功能,第二问求各个运放出来的电压。第四题是个稳压电源系 ...
    本站小编 Free考研网 2019-05-28
  • [2019初试真题回忆] 2019年河南理工大学数据结构专业真题回忆(941)
    2019年真题跟往年的真题题型都不一样了,整张卷子算法设计题占据了85%,河理工院校的真题有时候能找到有时候找不到,这个回忆版或许会帮助到想考河理工计算机学院的学弟学妹们!1链表和顺序表有啥区别,各自的优缺点2写出带头单链表查找第k个结点的代码3写出单链表查找倒数第k个结点的算法4给出模式串\\\" ...
    本站小编 Free考研网 2019-05-28